请输入您要查询的百科知识:

 

词条 EDA技术及应用
释义

图书信息

书名:EDA技术及应用

作者:万隆、巴奉丽

出版社:清华大学出版社

出版时间:2011年10月第一版

定价:32元

ISBN:9787302263821

内容简介

《EDA技术及应用》主要讲述EDA技术的特点、概念和主要内容共分8章,第1章绪论;第2章可编程逻辑器件,介绍CPLD器件的基本原理和结构,以及常用的EDA器件;第3章VHDL编程基础,介绍VHDL语言的基本语法特点、程序结构、常用语句以及相关基础知识;第4章基本逻辑电路设计,介绍一些典型的基本门电路、组合逻辑电路和时序逻辑电路VHDL语言的实现方式;第5章QuartusⅡ软件基本应用,介绍Altera公司的综合开发软件的简单应用;第6章ISE10.1 开发软件的使用,介绍Xilinx公司的开发工具的简单应用;第7章综合案例设计,介绍几种常用较复杂案例的设计方法,本章也可作为课程设计题目参考;第8章EDA技术实验,列举了6个基础性实验项目供大家参考。

《EDA技术及应用》取材广泛,内容简明,坚持案例化教学,引人大量典型实例。章节结构合理,前后知识点衔接流畅,适合作为本专科高等院校电子、通信、计算机、物理等相关专业的教材或教师参考书。同时也是一本不错的入门级自学教程。

该书提供教学资源:

电子课件、书中源代码、仿真文件

试读:

4.2.1编码器的设计

编码器的功能就是把2个输入转化为n位编码输出。常见的编码器有普通编码器和优先编码器。普通编码器就是对于某一特定时刻,只能对一个输入信号进行编码。优先编码器是指已经将所有的输入信号按优先级进行了排序,当几个输入信号同时出现时,只对优先级高的一个输入信号进行编码。

下面以一个8 输入优先级编码器的设计为例来说明。如例4.6所示,其中输入信号中y0 级别最低,y7 级别最高;输出为3位编码。

例4.6】8-3优先编码器的设计

方案一:利用if 多选择语句自顶向下的优先特性

library ieee;

use ieee.std_logic_1164.all;

entity priority is

port(signal y0,y1,y2,y3,y4,y5,y6,y7:in std_logic;

signal vec:out std_logic_vector(2 downto 0)):

end priority;

architecture behavior of priority is

begin

process(y0,y1,y2,y3,y4,y5,y6,y7)

begin

if(y7='1') then vec<="111";

elsif (y6='1') then vec<="110";

elsif (y5='1') then vec<="101";

elsif (y4='1') then vec<="100";

elsif (y3='1') then vec<="011";

elsif (y2='1') then vec<="010";

elsif (y1='1') then vec<="001";

elsif (y0='1') then vec<="000";

end if;

end process;

end behavior;

方案二:进程内为顺序语句,最先描述优先级最低,最后描述优先级最高,可实现优先级编码。

library ieee;

use ieee.std_logic_1164.all;

entity priority is

port(signal y0,y1,y2,y3,y4,y5,y6,y7:in std_logic;

signal vec:out std_logic_vector(2 downto 0)):

end priority;

architecture behavior of priority is

begin

process(y0,y1,y2,y3,y4,y5,y6,y7)

begin

if(y0='1') then vec<="000";end if;

if (y1='1') then vec<="001"; end if;

if (y2='1') then vec<="001"; end if;

if (y3='1') then vec<="011"; end if;

if (y4='1') then vec<="100"; end if;

if (y5='1') then vec<="101"; end if;

if (y6='1') then vec<="110"; end if;

if (y7='1') then vec<="111"; end if;

end process;

end behavior;

方案三:利用条件赋值语句

library ieee;

use ieee.std_logic_1164.all;

entity priority is

port(signal y0,y1,y2,y3,y4,y5,y6,y7:in std_logic;

signal vec:out std_logic_vector(2 downto 0)):

end priority;

architecture behavior of priority is

begin

vec <= "111" when y7 = '1' else

"110" when y6 = '1' else

"101" when y5 = '1' else

"100" when y4 = '1' else

"011" when y3 = '1' else

"010" when y2 = '1' else

"001" when y1 = '1' else

"000" when y0 = '1' else

"XXX";

end behavior;

图4.11为8-3优先编码器的逻辑示意图。图4.12为8-3优先编码器的波形仿真图。

图书信息

书 名: EDA技术及应用

作 者:王广君

出版社: 华中科技大学出版社

出版时间: 2008年10月

ISBN: 9787560949154

开本: 16开

定价: 25.80 元

内容简介

《EDA技术及应用》讲解的具体内容包括:EDA技术的发展概况、可编程逻辑器件的基本结构、QuartusⅡ的使用方法、硬件描述语言VHDL、滤波器、SOPC技术。

《EDA技术及应用》紧跟时代发展,软件内容都是最新版本。

《EDA技术及应用》可作为大学本科和专科相关专业的教材或教学参考书。

图书目录

1 EDA技术概述

1.1 EDA技术发展概况

1.2 可编程逻辑器件的发展概况

1.3 硬件描述语言

1.4 EDA技术的优势

1.5 EDA技术的发展趋势

1.6 可编程逻辑器件的设计流程

2 可编程逻辑器件的基本结构

2.1 PLD的基本结构

2.2 PLD的表示方法

2.3 简单PLD的基本结构

2.4 EPLD和CPLD的基本结构

2.5 FPGA的基本结构

2.6 硬件测试技术

2.7 FPGA/CPLD产品概述

2.8 可编程逻辑器件的编程与配置

习题

3 QuartusⅡ的使用方法

3.1 QuartusⅡ软件介绍

3.2 QuartusⅡ软件设计流程

3.3 QuartusⅡ软件设计实例——正弦信号发生器设计

4 硬件描述语言VHDL

4.1 概述

4.2 VHDL语言的基本结构

4.3 VHDL语言要素

4.4 VHDL语句

4.5 常用单元电路的设计实例

习题

5 VHDL应用实例

5.1 AD574控制器设计

5.2 静态随机存储器SRAM设计

5.3 数字频率计设计

5.4 乒乓球游戏电路设计

5.5 交通灯控制器的设计

5.6 空调系统有限状态自动机

5.7 电机转速控制

5.8 FIR滤波器

5.9 11阶FIR滤波器

5.10 任意波形合成器的设计

6 SOPC技术

6.1 SOPC Builder

6.2 NiosⅡ软核处理器

6.3 NiosⅡ软核处理器软件开发

6.4 SOPC系统设计实例

参考文献

……

随便看

 

百科全书收录4421916条中文百科知识,基本涵盖了大多数领域的百科知识,是一部内容开放、自由的电子版百科全书。

 

Copyright © 2004-2023 Cnenc.net All Rights Reserved
更新时间:2025/3/2 1:27:13